Back to ghdl PTS page

Accepted ghdl 1.0.0+dfsg-8 (source) into unstable



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA512

Format: 1.8
Date: Tue, 15 Feb 2022 01:38:02 +0100
Source: ghdl
Architecture: source
Version: 1.0.0+dfsg-8
Distribution: unstable
Urgency: medium
Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
Changed-By: Andreas Bombe <aeb@debian.org>
Changes:
 ghdl (1.0.0+dfsg-8) unstable; urgency=medium
 .
   * Add Depends on gcc to ghdl-gcc and ghdl-llvm packages as that is used by
     default for linking simulations
   * Add gcc and libc6-dev to autopkgtest Depends for ghdl-mcode as that is
     used by the testsuite for VPI tests
Checksums-Sha1:
 565a7f17048fd371f1d261c5190616b19ebdbef8 2604 ghdl_1.0.0+dfsg-8.dsc
 7c17fc0e6fad72343848abbdb0ca3322a26ef786 22448 ghdl_1.0.0+dfsg-8.debian.tar.xz
 f0efd037f21e220a8cb23cb233f7ef39ecb0bee1 9856 ghdl_1.0.0+dfsg-8_amd64.buildinfo
Checksums-Sha256:
 871f1321383623b8658715bbe5c68d1b1ec7e87e9d771932558833614aed1475 2604 ghdl_1.0.0+dfsg-8.dsc
 fceaa46f9a7f8d41bd34972a5c3e18b5ac2004be2ef0f3b5678c3f99c50ebca9 22448 ghdl_1.0.0+dfsg-8.debian.tar.xz
 4636659778e7c6f8de7acf148e141d1ae777ec01439d157c1f03cec81f967342 9856 ghdl_1.0.0+dfsg-8_amd64.buildinfo
Files:
 2f7ef766f2c7983476153cd165265be5 2604 electronics optional ghdl_1.0.0+dfsg-8.dsc
 17eb6fd42bfde8ab0db1d664f9186658 22448 electronics optional ghdl_1.0.0+dfsg-8.debian.tar.xz
 4b2d1e3fb606258687fc280d21ddddb4 9856 electronics optional ghdl_1.0.0+dfsg-8_amd64.buildinfo

-----BEGIN PGP SIGNATURE-----

iQIzBAEBCgAdFiEE/fJ3FAtt+ejNyKmCMWRwWx2jHUwFAmIK/N4ACgkQMWRwWx2j
HUxA2xAAmDGYQpmQZAEUZwQFzj7oh8L76t9wzc1NurNfAy8oQeLjpiiMUBLDPWiN
ramWTOt7NkTR+9Ve497qZz3hc6UIM9x4IwIWimyFqwjZKoq2aHAVycN1V0UtWgo5
ALNNsY9MxqzTo9YolK2R1T++7ZDYAITtuCJCs8oIbVq5sIKRXH0zphUILbcx2KtG
I1fwV4rjc2y/YwIzMnoMsX5Vj1ZhzkTaom9bbSNkStP7z++hP9fohbfE5AjldTqU
dkBfSlMoD06hVl+0rC5pDVX1KBTi6yjCaOhIpYFfBy4IBvuUN339sViITkXJYx+3
gPxCe5r12bBWU/KJufqopAVGzuAPZjqb+e9aaW/8T5L7bkcvSvrW63IQ0ucyoQvi
9DM5cXG81GL/3uH+Z1KaytMMN8tFMYuS5r+Io8UXsrPGkpqPnDBv7fwE6qnRc7Y4
zE5TlGgq0It2JzXYVMfWn6EbeTTxw+oq+4/llWjMbubaMCT2GnrHieyhuNrlkGlu
EWgSf6iV7f+B4bem0iRYAzXscA9oLmSkrucH/cuENCl8jI3vhOBrGqORnWhW96KA
78Z9DxYmw05xTNAw1czTa2n/1hf9rNWeOgnjxC5G+SyxWKUQxuGTeEGSfxJBZd1H
UfN5pw4Czxqxu8Rj2Ggdv6uvEUFd0W6iI2u2+uH4F9PFboVBSKE=
=ee1e
-----END PGP SIGNATURE-----